Preguntas con etiqueta 'parity'

3
respuestas

No está seguro de cómo Arduino interpreta la señal RS232

Descargo de responsabilidad: Soy un novato. Tengo una conexión RS232 con un lector de etiquetas RFID que estoy monitoreando a través del software en una computadora de escritorio, así como un Arduino. El dispositivo está configurado con parid...
1
respuesta

polinomio CRC y detección de error de paridad

Mi pregunta es sobre el polinomio generador de CRC. Si tengo un generador de nivel 5, di: $$ X ^ 5 + X ^ 4 + X ^ 2 + 1 $$ ¿Cómo puedo saber si puede o no detectar un error de paridad? También, ¿cómo puedo saber los patrones de err...
2
respuestas

verificador / generador de paridad impar, incluso de 4 bits, utilizando solo puertas lógicas

Hola, estoy trabajando en mi proyecto de electrónica digital. Generador de paridad incluso impar de 4 bits y verificador. Este es mi circuito. ¿Cómo implemento esto en el tablero de pruebas y qué me falta aquí, excepto el vcc y dónde colocar los...
0
respuestas

Código de corrección de errores hacia adelante, Reed Solomon, Turbo Code, comprobación de paridad de baja densidad

Soy nuevo en FEC (Corrección de errores hacia adelante). Punteros muy apreciados. Estoy experimentando software con "protecciones de error" configurables. Resultado que mejora a medida que aumenta el ajuste al 40%. Todavía no hay suficiente '...
1
respuesta

Elementos básicos del lenguaje del ensamblador Bandera de paridad

Soy un principiante en lenguaje ensamblador. Estaba leyendo algunas cosas en línea (pertenece a una universidad) y vi que el bit P en psw después de ejecutar esta instrucción mov a, # 03h A = 0000 0011B así que P = 0 Primero fue difícil saber qu...
1
respuesta

¿Cómo funciona realmente el dispositivo wiegand?

Por lo que yo entiendo, el dispositivo wiegand debe estar conectado al controlador wiegand para que puedan comunicarse en el protocolo wiegand, que es de 2 bits de paridad y los 24 bits de datos (el estándar 26 wiegand). Así que tomemos una t...
1
respuesta

Diagrama de estado para el generador de paridad de 2 bits

Entonces, la pregunta con la que necesito ayuda es: diseñar una máquina de estado de moore mínima para un generador de paridad de 2 bits que dé como resultado '1' si el número de 1s en una secuencia de 2 bits es impar, y de lo contrario arroja '...
1
respuesta

¿Paralelo en serie-salida con paridad?

¿Alguien puede recomendar un IC de registro de desplazamiento con paridad integrada o generador CRC? ¿Hay tal cosa de todos modos?     
0
respuestas

Generador de bits de paridad par en VHDL

Estoy tratando de hacer un proyecto simple en VHDL. La especificación es que toma datos de 4 bits como entrada y genera un bit de paridad (usaré este pequeño módulo en UART más adelante). Mi enfoque es que, si sumo cada bit de los datos, obtengo...
1
respuesta

Bandera de paridad en el microprocesador 8085

¿Qué sucede con el indicador de paridad de un microprocesador Intel 8085 cuando no hay un bit '1' en el acumulador? Por ejemplo, si se ejecuta la siguiente instrucción, MVI A, 05H MVI B, 05H SUB B el resultado será A = 00H, en el...