Preguntas con etiqueta 'modeling'

1
respuesta

Medición de la inductancia de magnetización del transformador

Estoy tratando de ajustar los datos medidos del transformador al modelo matemático estándar del transformador. He realizado la medición de cortocircuitos para obtener inductancias de fuga y medido resistencias primarias y secundarias de CC. He l...
2
respuestas

Sistema de control: Modele una válvula de flujo simple

Estaba leyendo un artículo sobre un Diseño del controlador para el control de la temperatura del intercambiador de calor y no pude entender cómo modelar la válvula utilizada en el sistema. No es necesario que lea el documento, ya que resumi...
1
respuesta

Modelo AC del convertidor integrado Boost-Flyback

Estoy diseñando un SMPS pequeño para iluminar algunos tubos Nixie de un cargador USB común. Esto requiere una ganancia de alto voltaje de alrededor de 40, lo que descalifica a muchas de las topologías utilizadas normalmente. Me he encariñado con...
3
respuestas

'Caja negra' biológica: ¿cómo probar la lógica subyacente?

En primer lugar, soy neurocientífico, ¡así que ten paciencia! Tengo una colección de células cerebrales conectadas (red) y un medio para registrar la salida eléctrica, así como para manipular la entrada a la red de manera temporal y espacialm...
2
respuestas

¿Cómo modelar un diodo Schottky basado en la hoja de datos?

Tengo una pregunta sobre cómo modelar un diodo Schottky no lineal según la hoja de datos disponible en ADS. ¿Debo asignar valores Rs y Cj de la hoja de datos? enlace El diodo se utilizará como un interruptor. ¿Alguien podría ayudar...
3
respuestas

Función de transferencia de un codificador rotatorio

En primer lugar, me gustaría decirle que esta es mi primera pregunta en este foro y encontré sus temas y sus respuestas muy útiles durante mis años académicos, así que gracias a todos. En este momento tengo el siguiente problema: Estoy tratan...
1
respuesta

¿Cómo modelar el seguimiento de PCB con RLC?

Tengo una placa PCB con el stackup que se muestra a continuación. Quiero calcular cuál sería la impedancia (¿y la capacitancia también?) De una traza de PCB de 5 "en la capa L1 . ¿Cómo calcularlo? Porejemplo,estoytratandodeusarestac...
2
respuestas

Puntos de referencia de la lista de redes de nivel de transistor

Soy estudiante de doctorado en el campo de CAD / EDA. Mi tema de investigación cubre el diseño de distribución de las celdas estándar VLSI y el enrutamiento detallado. Para que los resultados de mi investigación sean más confiables, me gustaría...
3
respuestas

¿Dónde puedo encontrar modelos para varios amplificadores operacionales comunes para usar en LTSpice?

Acabo de empezar a usar LTSpice. Necesito simular un circuito con amplificadores operacionales, donde los elementos parásitos del amplificador operacional pueden afectar el rendimiento. Así que tengo que probar diferentes amplificadores operacio...
1
respuesta

Circuito de modelado desde FSM usando Verilog

Estoy tratando de entender el concepto de modelar un circuito desde un FSM en Verilog. He intentado anotar el procedimiento que he usado en la imagen de abajo para asegurarme de que lo hice correctamente: Segúnlasolución,elcircuitoeselsiguie...