Opciones deshabilitadas en Xilinx Vivado

0

Tengo dos preguntas.

En primer lugar, estoy tratando de hacer un contador de 4 bits en Vivado 2014.3. Me he dado cuenta de que cuando intenté simular el código VHDL, las opciones "Ejecutar simulación funcional posterior a la síntesis" y "Ejecutar simulación posterior a la síntesis de síntesis" están desactivadas. ¿Tienes alguna idea para habilitarlos o es una situación normal? He mostrado abajo.

Misegundapreguntaestárelacionadaconquecuandointentoagregarunafuente,elbotón"Siguiente" también está deshabilitado. ¿Tienes una idea sobre esto o es una situación normal? He mostrado abajo.

Gracias.

    
pregunta layout789

1 respuesta

1

No estoy seguro de si ayuda, pero encontré la siguiente guía que parece ir a través de todos los pasos básicos para Vivado. Me ayudó, el de Xilinx ... dejó mucho que desear.

enlace

    
respondido por el nonya_business

Lea otras preguntas en las etiquetas