cómo escribir la salida del monitor en un archivo

4

Tengo un banco de pruebas y módulos verilog. Quiero escribir la salida del banco de pruebas en un archivo anmed como output.txt. Mientras hago este trabajo, quiero usar $ monitor.

¿Es posible? En caso afirmativo, ¿puedes darme un pseudo código de ese segmento?

    
pregunta Brian Carlton

2 respuestas

2

He escrito Makefile para satisfacer ese deseo;

default :
iverilog -o verilog_testbench lab_work.v test_bench.v 
odt  :
./verilog_testbench > simulation.odt
txt  :
./verilog_testbench > simulation.txt

He intentado la respuesta de Taniwha antes, pero no funcionó. Por lo tanto, he escrito Makefile.

    
respondido por el user5140
6

usa $ fmonitor:

integer f;
initial begin
  f = $fopen("output.txt");
  $fmonitor(f, "time=%5d, v=%h\n", $time, vv);
  #1000 
  $fclose(f);
  $finish;
end
    
respondido por el Taniwha

Lea otras preguntas en las etiquetas