¿Cómo encontrar el mínimo entre las variables con las variables excluyentes que tienen valores cero en Verilog?

-3

Tengo 6 nombres de variables D1, D2, D3, D4, D5, D6. Quería encontrar el mínimo entre ellos pero excluyendo los ceros si los hubiera.

Hice lo mismo en MATLAB usando el siguiente comando:

D=[D1,D2,D3,D4,D5,D6];              
D = D == min(nonzeros(D))   

Quería hacer lo mismo en Verilog. Soy capaz de encontrar el mínimo en Verilog pero enfrentando el problema de cómo excluir variables que tienen valores cero.

Por ejemplo (pseudo-código):

If input: D1=23, D2=0,D3=89,D4=12,D5=65,D6 =88;                 
Then minimum= 12(D4): before sorting it do not include D2.                         

Por favor da algunos consejos.

    
pregunta RO.BST

1 respuesta

0

Si logró encontrar el mínimo, creo que hace un ciclo comparando cada valor con el mínimo y actualizando el mínimo si el nuevo valor es más pequeño. En esa misma comparación excluye los valores cero

    
respondido por el Claudio Avi Chami

Lea otras preguntas en las etiquetas