Código Verilog para el divisor de frecuencia 2 [cerrado]

-2

Tengo que escribir un código para el divisor de frecuencia de 161.24MHz a 8KHz en Verilog. Por favor ayuda a escribir un código.

    
pregunta SAURAV

1 respuesta

2

161.24 / .008 = 20155. Así que simplemente puede crear un contador de 15 bits que cuente hasta 20154 y generar su salida en base a eso. Si solo necesita pulsos de ciclo único, genere un pulso cuando el contador se desborda. Si necesita un ciclo de trabajo del 50%, use un comparador para generar un nivel alto cuando el contador esté en la mitad de su rango.

    
respondido por el alex.forencich

Lea otras preguntas en las etiquetas