¿Cómo crear un archivo .VCD o un archivo de actividad de simulación de código verilog?

0

Tengo el código de Verilog. Se simula correctamente y sintetiza también. Quería escribir un archivo .VCD (cambio de valor descargado).
Obtuve de internet algunos comandos para generar un archivo VCD como se indica a continuación:

initial begin
$dumpfile ("invchn26.vcd"); // Change filename as appropriate. 
$dumpvars(1, t.uut); 
end

Pero ten un poco de confusión:
1. Las líneas anteriores se escribirán en el banco de pruebas. ¿Tengo razón?
2. Tengo debajo de los archivos:
testbench: stimulus.v,
 el archivo principal llamado F_E. es instancia por nombre de llamada en el archivo de estímulo. como escrito como llamada F_E (a, b, CLK, x, y);
Escribí las siguientes líneas en el estímulo (archivo testbench):

 initial begin
    $dumpfile ("crt.vcd"); // Change filename as appropriate. 
    $dumpvars(1, stimulus.call); 
    end

Pero está dando error. ¿Cómo se crea un archivo .VCD con verilog y xilinx? Por favor sugerir sugerencias.

    
pregunta RO.BST

1 respuesta

0

(Muestro un ejemplo en Linux, los pasos con nuevos nombres para evitar mezclar los nombres y los módulos con sus nombres de archivo).

Si tienes un archivo, digamos "counter.v", entonces escribirías un archivo testbench, dirías "counter_tb.v" (para mayor claridad, es mejor nombrar el archivo testbench " something_tb.v "para el archivo" something.v "). En el archivo de banco de pruebas, le da el nombre del archivo vcd, como mencionó:

$dumpfile("counter.vcd")
$dumpvars(0, counter_tb)   <= Name of the testbench module

En el archivo de banco de pruebas, también debe haber el comando de inclusión para el módulo bajo prueba:

'include "counter.v"
 module
     counter_tb();
 ...
 ...

Luego compilarías (en Linux):

iverilog -o counter counter_tb.v 

Entonces esto puede ser procesado con el comando

vvp counter

que produce el archivo de salida "counter.vcd" que puede ser inspeccionado por el comando

gtkwave counter.vcd 

Si todos los pasos anteriores son correctos, no debería haber ninguna queja

    
respondido por el Coliban

Lea otras preguntas en las etiquetas