Preguntas con etiqueta 'sequence-detector'

1
respuesta

Cuadro ASM a circuito

¿hay alguna forma sistemática de transformar una carta ASM a un circuito secuencial inmediatamente? Quiero decir, dado que el 'Bloque del estado' puede representarse por un flip-flop D; El "Bloque de decisión" es equivalente a dos puertas AND, y...
1
respuesta

Detector de secuencia Moore “01010”

Mi tarea es diseñar el detector de secuencia de Moore. Como dijo mi maestro, mi gráfica está bien. Escribílossiguientesestadosysalidas,luegodecidíquéchanclasusaré.ConlasmesasdeKarnaugh,lasfuncionesmiminalesparaellos.Miproblemaesquenofuncionacorr...
1
respuesta

detector de secuencia en verilog

Tengo la tarea de construir un detector de secuencia Aquíestáelcódigo:/*ThisdesignmodelsasequencedetectorusingMealyFSM.*Wheneverthesequence1101occurs,outputgoeshigh.*Overlappingsequencesareallowed.*/modulefirstFSM(inputwireclk,inputwirerst,in...
1
respuesta

¿Cómo implemento un generador de secuencias utilizando un registro de desplazamiento universal?

¿Cómo puedo implementar un generador de secuencias que genere la siguiente secuencia? 0000 1000 0001 0011 0110 1101 1110 1111 utilizando un registro de desplazamiento universal? El registro de desplazamiento que necesito usar es el modelo 74LS19...
2
respuestas

Diseñar una máquina de estados

Pregunta: Diseñe una máquina de estados que dé salida a la secuencia 0 1 7 1 y luego a 1 7 1 1 7 1 y así sucesivamente. Un reinicio hará que la máquina vaya a la que sale 0? Lo que he logrado hacer hasta ahora: Dado que la secuencia tiene...
1
respuesta

controlador BLDC

Tengo que diseñar un controlador para motor trifásico BLDC. En el motor BLDC, para cada combinación de la señal del sensor de pasillo tenemos que energizar dos conjuntos de fases del estator. ¿Pero cómo identificar correctamente qué fase debe se...
1
respuesta

cómo diseñar una máquina de estado de detector de patrones en vhdl [cerrado]

¿Cómo puedo usar vhdl para diseñar un detector de secuencia para encontrar una secuencia de 32 bits con 15 ceros seguidos de 17 con 2 contadores para contar los unos y ceros que tienen señales de habilitación y restablecimiento? ¿Alguien puede d...
1
respuesta

Detector de secuencia de bits de la máquina de estados finitos (FSM) para un flujo de varios bits [cerrado]

Por lo general, extraer un FSM es la solución a este problema. Sin embargo, mi pregunta aquí es su implementación. Pensé en dos formas, pero no estoy seguro de si eran correctas. FSM típico, tabla de verdad para estados actuales, estados si...
2
respuestas

Colector flotante

Estoy trabajando en un proyecto en el que se puede interrumpir la alimentación del suministro de 5 VCC a un micro durante la operación (por usuario). Necesito indicar al micro que está a punto de perder energía para que pueda realizar ciertas ta...
2
respuestas

cómo implementar el detector de secuencia (secuencia múltiple)

Estoy trabajando en un problema de implementación de un detector de secuencia que genera 1 siempre que detecto 0010 o 100. Lo que me molesta es 0010 'o' 100 partes. Sé cómo implementar el detector de secuencia única (por lo tanto, si solo tengo...