Preguntas con etiqueta 'multisim'

1
respuesta

Resultados inesperados en Multisim

Estoy en el proceso de seleccionar un MOSFET de canal N para fines de conmutación y, por lo tanto, probé este sencillo circuito en Multisim. Pero parece que el MOSFET conduce en el modo de corte y permanece apagado en el modo de saturación. ¿Pue...
1
respuesta

Modelo de oscilador en multisim

¿Qué hay de malo con este esquema? Multisim no genera oscilación. También cambié R3 = 1000, R3 = 500 pero igual.     
2
respuestas

implementación diferente a la simulación

Tengo que construir el circuito RLC de la siguiente serie y generar su diagrama de código utilizando el Lanzador de instrumentos NI ELVIS. Es para un filtro de paso de banda, por lo que la salida se toma a través de la resistencia. Antes de cont...
1
respuesta

¿NI Multisim simula la entrada y el ruido de salida del amplificador operacional?

Acabo de crear un circuito con AD620 en amplificador y me preocupa que no haya suficiente ruido. Me pregunto si Multisim simula las propiedades avanzadas de op-amp / in-amp, como el ruido de entrada y demás. Quiero decir, si selecciono un...
2
respuestas

¿Cómo encontrar el diagrama esquemático de un componente en Multisim?

Alguien podría decirme cómo encontrar el diagrama esquemático de un componente determinado en Multisim, he estado tratando de entender cómo funciona el IC 74LS729 (retén SR) pero no puedo tener éxito principalmente porque este componente no func...
1
respuesta

Modelo Inverter en MultiSim

Quiero modelar SN74LVC1G06 (es un inversor de drenaje abierto) en MultiSim, específicamente la especificación de tiempo de subida y caída. El modelo de inversor existente en el Multisim solo tiene un retraso de propagación ascendente y descenden...
0
respuestas

El circuito de la celda de carga no funciona en el simulador de circuito

Quiero simular una interfaz de celda de carga con AD620 para la amplificación. - Mi celda de carga es de 40 kg como máximo con una sensibilidad de 2 mV / V y una tensión de excitación de 10 V con una resistencia de entrada de 405 ohmios y una re...
0
respuestas

controlador Verilog SRAM

Estoy intentando implementar un módulo de controlador SRAM. Está estructurado de la siguiente manera Conexiones externas: extA [18: 0] - dirección extIO [7: 0] - entrada / salida bidireccional OE, WE, CE - señales de control Conexi...
1
respuesta

Multisim, Error de simulación

Como la imagen describe, el Vout es el resultado de la división, pero tenemos un error. Debido a que mi experiencia con MultiSim no está en un nivel alto, ¿por qué sucede esto? ¿Es esto mi culpa? ] 1     
0
respuestas

Simulación del regulador de voltaje LM7812CT en Multisim

HiceestasimulaciónusandoMultisim.Quería300mAenlacargayesoselogró,perolatensióndesalidadelregulador7812seatascaa11.8V...¿Esnormal?¿Cometíalgúnerrorenelproyecto?Hicetodosloscálculosantesdecrearelcircuitoparapodercompararlateoríaconla"realidad", p...