Preguntas con etiqueta 'clock'

3
respuestas

¿Multiplica la frecuencia de reloj por tres o más veces?

La frecuencia de una señal de reloj digital se puede duplicar utilizando una puerta EXOR (reloj en un pin de entrada y reloj retrasado en otro). ¿Podemos usar un circuito similar que pueda multiplicar la frecuencia por tres veces?     
2
respuestas

¿Puedo producir una onda cuadrada (básicamente un reloj) desde un puerto USB en mi computadora portátil? Si es así, ¿cómo?

¿Puedo producir una onda cuadrada (básicamente un reloj) desde un puerto USB en mi computadora portátil?   Si es así, ¿cómo? Explicando brevemente, estoy usando ad9834 en un proyecto y este chip necesita un reloj de cualquier frecuencia que osci...
5
respuestas

¿Cuánto overclocking está "bien"?

Entonces estoy usando un PIC32, está clasificado para operación de 80 MHz. Pero mi cristal puede ser inexacto, por lo que podría oscilar a 80.01 MHz. Puedo suponer que esto está bien, pero ¿qué pasaría si utilizara un cristal de 7.3728 MHz y un...
3
respuestas

Detener el reloj sin ajustar el reloj

¿Cómo se podría hacer un registro de desplazamiento que solo cambie cuando una línea de habilitación es alta? La respuesta obvia es pasar el reloj y habilitar a través de una puerta AND, pero eso rompe la regla de "no hacer puertas". Otra posibi...
2
respuestas

¿Qué componentes se necesitan para un reloj de onda cuadrada de 20MHz?

Me gustaría crear un reloj de 20Mhz que genere una onda cuadrada para la computadora Z80 de fabricación casera. Ya tengo varios osciladores de cristal de 20MHz, pero estoy perdido respecto a los diagramas de circuitos y otros componentes necesar...
2
respuestas

¿Está bien usar egde ascendente y descendente en este diseño VHDL?

Soy bastante nuevo en el diseño de hardware con VHDL, y creo que estoy cometiendo un error de noob. Estoy haciendo una CPU y mi archivo de registro se está activando. Aunque tuve un problema Intentaré poner un pseudo código para borrarlo on ri...
2
respuestas

¿Cómo generar un reloj de alta frecuencia con alta estabilidad desde un microcontrolador?

Estoy usando el microcontrolador TM4C123G de TivaC launchpad, para generar un reloj de 40 MHZ, pero lo probé en el osciloscopio y no parece una onda cuadrada, es más como un sinusoidal. Aquí hay una captura de pantalla: yaquíestámicódigo:#in...
3
respuestas

Práctica de diseño cruzando dominios de reloj y señales asíncronas

He estado diseñando algunos proyectos en diferentes FPGA's en VHDL, y parece que mi fuente más común de "errores difíciles de encontrar" es cuando me olvido de sincronizar una señal asíncrona, o me olvido de volver a sincronizar una señal que cr...
1
respuesta

Manejo de relojes inferidos durante la síntesis de RTL

Estoy tratando de sintetizar un diseño en VHDL en un FPGA ProASIC3 usando la herramienta Synplify Pro. El informe de síntesis me da la siguiente advertencia sobre los relojes inferidos. @W:MT420 :  | Found inferred clock counter_unit| pstate_i...
1
respuesta

¿Debo usar una salida FPGA para un reloj MCU?

Estamos diseñando una placa que fue diseñada hace años, el objetivo principal de la actualización es la obsolescencia de la pieza. La placa tiene varios PIC uC en él, así como un FPGA. Cada uno de los PIC necesita un reloj y el sistema tiene un...