Preguntas con etiqueta 'clock'

2
respuestas

¿Cómo saben los IC cuando hay una transición hacia arriba o hacia abajo de un pulso de reloj?

El título prácticamente lo dice todo. Pero, ¿cómo saben la mayoría de los circuitos integrados, microcontroladores, etc. cuando un ciclo de reloj comienza una transición hacia abajo o hacia arriba desde la fuente del reloj?     
3
respuestas

Cómo calcular el tiempo de un solo tick en el microcontrolador

He estado en el sistema integrado durante 8 meses. He trabajado la parte de aplicación más en comparación con el nivel bajo. Tengo preguntas básicas sobre sistemas de ticks, Cómo calcular el tick del sistema del temporizador, si estoy inicia...
4
respuestas

¿Qué es un reloj de onda?

Estoy leyendo Capítulo 12. Prácticas de diseño recomendadas en el Quartus Manual II versión 13.1 Volumen 1: Diseño y síntesis que indica (pág. 8):    Los contadores de ondulación utilizan registros en cascada, en los que el pin de salida...
1
respuesta

Reloj en tiempo real y precisión Crystal

Quiero usar un cristal como reloj base para un reloj. La mayoría de los diseños de referencia que pude encontrar utilizan un cristal de 32.768 kHz con una precisión de 20 ppm (partes por millón). Según mis cálculos, esto conduce a un error de má...
3
respuestas

¿Qué tan rápido debo registrar mi CPLD en comparación con la velocidad de mi bus SPI?

Como estoy seguro de que todos aquí saben, en el diseño de FPGA / CPLD, a menudo se necesita sincronizar una señal asíncrona más lenta (por ejemplo, la línea SCK de SPI) con una señal de reloj mucho más rápida que se envía directamente al FPGA /...
2
respuestas

Cómo obtener una señal cuadrada de 8 MHz a una pic18f45

Estoy usando un Pic18F45K40 para controlar una red de línea eléctrica ST7590 Chip que requiere una señal de reloj de 8MHz para funcionar. Leí la hoja de datos y parece que se puede generar una señal de 16MHz desde el microchip de 64MHz. Confi...
4
respuestas

¿Error de cálculo entre dos relojes?

Tengo un reloj. Genera garrapatas. Quiero saber el error en PPM en relación con otro reloj, por lo que cuento los ticks. Digamos que el oscilador es de 1 MHz (por simplicidad). Debería contar 1.000.000 tics por segundo. Trazo el (expected_tic...
3
respuestas

¿Cuál sería la mejor manera de diseñar un reloj en tiempo real para el MSP430?

Básicamente eso. La forma en que lo estoy haciendo ahora es con el TimerA configurado en interrupciones de 1 segundo. Pero creo que es muy molesto. ¿Hay alguna otra forma de hacerlo? Básicamente, quiero configurar los temporizadores en ese re...
2
respuestas

Cambios en la forma del reloj con dos sondas O-Scope

Tengo una placa de desarrollo Max V CPLD que tiene un oscilador de 10MHz a bordo. Conecté la sonda CH2 al pin de salida y luego escribí un pequeño programa VHDL que daba salida al reloj a un pin externo. Enganché la sonda CH1 a este pin. Mi p...
2
respuestas

¿Funciona el oscilador interno de 8 MHz en ATTINY incluso si no hay relojes conectados a él?

Si configuro los fusibles en un ATTINY para que el reloj del sistema use el oscilador interno de 128 khz (a veces denominado "oscilador de perro guardián"), ¿sigue funcionando el oscilador interno de 8 MHz? No parece que lo diga de ninguna ma...