Preguntas con etiqueta 'clock'

3
respuestas

¿Alguien tiene ejemplos específicos de cómo IEEE 1588 se usa más comúnmente en la industria?

Me he topado con el concepto de sincronización IEEE 1588 a través de Ethernet varias veces, y tengo curiosidad por saber cuáles son sus usos más comunes y los detalles de por qué es necesario. Por ejemplo, leí que las torres de teléfonos celular...
3
respuestas

¿Por qué CPLD tiene cuatro fuentes de reloj?

La serie Altera CPLD EPM570 tiene cuatro relojes globales (GCLK0-GCLK3), quiero asignar dos fuentes de reloj a CPLD: una del oscilador y una de la MCU. Desde "GLOBAL SIGNAL" parte de "MAX II Architecture" MAX II Architecture , me sale Confundid...
2
respuestas

¿Cómo enrutar un reloj LVDS desde la entrada FPGA a la salida?

Utilizando VHDL, ¿cómo es posible recibir un par de señales LVDS (por ejemplo, un reloj externo) en el FPGA y enrutarlas a otros pares de pines para que salgan, sin ninguna modificación? He intentado IBUFDS y OBUFDS con una señal intermedia d...
2
respuestas

Si un borde de reloj coincide con el borde de salida de datos, ¿qué debe leer SPI?

Tengo un convertidor de analógico a digital que lee y envía datos en bordes descendentes. También tengo dos MCU: la FEZ Hydra y la FEZ Cobra. He notado que al usar el osciloscopio los datos del ADC y los datos del ADC son exactamente los mismos,...
1
respuesta

Sincronizando transiciones GPIO a un reloj externo

Actualmente, estoy usando un ADC con un disparador externo con la advertencia de que el borde del disparador debe estar dentro +/- 25ns del reloj del ADC (vea la Figura 3 de la hoja de datos). El reloj del ADC está disponible en un pin del ADC...
3
respuestas

PLL versus putt-putt-skip, putt-putt-wait, división de tasa fraccional u otros enfoques

Muchas aplicaciones utilizan los PLL para generar frecuencias donde la precisión de frecuencia a largo plazo es necesaria, pero donde una cierta cantidad de jitter a corto plazo puede ser aceptable. He visto una serie de dispositivos con oscilad...
3
respuestas

¿Cómo crear un contador para mostrar 6 dígitos usando ánodos?

Estoy tratando de escribir un reloj digital en vhdl para un fpga que se ejecute en 100 mhz. Puedo escribirlo en 4 ánodos al crear un contador como se muestra a continuación (conteo 1 = 249999), los dígitos parecen bastante claros. Sin embargo, c...
1
respuesta

¿Generación de reloj aleatorio con distribución desigual de 1s y 0s?

Necesitamos un reloj pseudoaleatorio con una longitud N , de tal manera que de cada N de los pulsos de reloj, M de ellos debe hacerse al azar ( M<<N ). Lo que queremos hacer es muestrear una señal de manera aleato...
2
respuestas

El temporizador PIC16 no funciona ... ¿es mi código?

Soy nuevo aquí como OP, pero he estado visitando este sitio durante años. Esta vez, solo mirar otros problemas no me ha ayudado. Por lo tanto, me inscribo y solo pregunto! Estoy creando un temporizador de cuenta regresiva con 30 luces que se...
2
respuestas

¿Cómo verificar la frecuencia del reloj MSP430?

Estoy trabajando en un proyecto en el que se usa un MSP430 para proporcionar el tiempo para algunas cosas donde el tiempo preciso es importante (puede estar apagado en un 10%, pero no en 2x). Esto es impulsado por el temporizador A0 que se ejecu...