Preguntas con etiqueta 'arithmetic-division'

1
respuesta

¿Se puede inferir un bloque aritmático de latencia de tubería específico al usar * u / operator en VHDL?

Al usar el * o / en VHDL, la herramienta de síntesis deducirá el bloque de IP apropiado para llevar a cabo esa operación. Si abrimos la GUI real para ese bloque de IP, podemos encontrar muchas opciones, por ejemplo, seleccionar si implementar la...
0
respuestas

Problema de VHDL Xilinx IP Core Divisor para un punto fijo firmado

Espero que me puedas ayudar ya que creo que este es un error muy específico y no sé cómo resolverlo. Quiero dividir 2 números representados como: 4bits: parte entera 4bits: parte fraccionaria Entonces instalo un divisor en el generad...
2
respuestas

¿Cómo dividir un número complejo en VHDL?

Sé cómo dividir números en VHDL (o usar uno de los generadores de núcleo IP de Xilinx) pero no sé cómo hacerlo en el caso de que los números sean complejos. En mi caso, he definido un número complejo como este: type complex12 is record...
0
respuestas

¿Cómo crear un circuito para implementar el método de división de restauración?

Estoy tratando de implementar un circuito que implementará el método de división de restauración. El problema es que no puedo entender cómo configurar los registros para realizar esta operación. Aquí está el diagrama de flujo del algoritmo:...