cómo escribir un retardo simple de verilog

0

Estoy tratando de averiguar cómo retrasar una compuerta AND solo para comenzar a entender cómo funcionan las demoras en Verilog.

Estoy intentando utilizar este método:

module a(c,a,b);
  input a, b; output c;

  and #10 U1(c, a, b);
endmodule

Pero este método no es correcto. ¿Cómo arreglaría esto?

    
pregunta Kazu

0 respuestas

Lea otras preguntas en las etiquetas