Me gustaría saber cómo puedo agregar un vector sin firmar con uno firmado . La razón es que estoy creando un procesador MIPS y me gustaría agregar el contador del programa que no está firmado con el campo inmediato de la instrucción I-Type que está firmada. A continuación puede ver la ruta de datos y la adición de esos 2 vectores.
Ya he intentado lo siguiente con un error:
-- Signals used
PC_BRANCH_DEC_OUT : out std_logic_vector(31 downto 0);
PC_PLUS4_DEC_IN : in std_logic_vector (31 downto 0);
signal signed_imm_s : std_logic_vector (31 downto 0);
-- Addition of the signals above
PC_BRANCH_DEC_OUT <= std_logic_vector(unsigned(PC_PLUS4_DEC_IN) + signed(signed_imm_s));
La adición antes mencionada funciona solo si ambos están sin firmar o firmados.
¿Existe alguna solución para hacer posible esta adición y cómo?