Estoy tratando de conectar 5 pilas juntas usando VHDL a continuación. El principal problema al que me enfrento es que no sé cómo tratar con las señales clk
que significa clock y rst
que es un reinicio asíncrono y el SOp
stack operation-vector de señales que se usó en su totalidad por cada una de las instancias de pila. TS
significa top of stack.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity stack is
port(
D : in std_logic_vector(4 downto 0);
TS : out std_logic_vector(4 downto 0);
clk, rst : in std_logic;
SOp : in std_logic_vector(1 downto 0)
);
end entity;
architecture structural of stack is
begin
stack1: entity stack port map(
D => D(0),
TS => Tos(0),
SOp => -- here
);
stack2: entity stack port map(
D => D(1),
TS => TS(1),
SOp => -- here
);
stack3: entity stack port map(
D => D(2),
TS => TS(2),
SOp => -- here
);
stack4: entity stack port map(
D => D(3),
TS => TS(3),
SOp => -- here
);
stack5: entity stack port map(
D => D(4),
TS => TS(4),
SOp => -- here
);
end architecture;
¿Debería básicamente escribir algo como esto o puedo omitir SOp, clk, rst, ya que cada pila los utiliza completamente?
stack1: entity stack port map(
D => D(0),
TS => TS(0),
SOp => SOp,
clk => clk,
rst => rst
);