Simulación de vivado ip_user_files / ipstatic filename conflict

0

Al integrar IP en Vivado, aparentemente algunos archivos se están copiando en un directorio ip_user_files/ipstatic . Si dos IPs tienen el mismo nombre de archivo, solo se coloca una, y luego veo los errores causados por una IP compilada con los submódulos de otra.

¿Hay alguna manera de obligar a Vivado a compilar cada IP por separado con sus propios archivos?

Nota: También he publicado esta pregunta en los foros de Xilinx Vivado con un ejemplo mínimo .

    
pregunta haggai_e

0 respuestas

Lea otras preguntas en las etiquetas