manejo de archivos Verilog

0

Intenté abrir un archivo ff.txt y escribir en él algunos números aleatorios, por ejemplo, siete veces. Usé el sitio web de juegos de niños de EDA para ello. A continuación se muestra el enlace para ese código, así como ese código.

enlace

Cuando intento ejecutarme me sale un error como

** Advertencia: (vsim-3533) [FOFIW] - No se pudo abrir el archivo "ff.txt" para escribir.

Permiso denegado. (errno = EACCES): design.sv (6)

Mi pregunta es ¿por qué no tengo acceso al archivo ff.txt?

     module fopenclose();
       integer mcd,number;
       initial begin
         mcd=$fopen("ff.txt");
         repeat(7) begin
         number=$random;
         $fdisplay(mcd,"Number is",number);
       end
         $fclose(mcd);
       end
       initial #2 $display("%d",mcd);
     endmodule
    
pregunta Abhi

2 respuestas

1

Lo más probable es que el área de juegos EDA no proporcione acceso al sistema de archivos. Probablemente necesitará ejecutar esto en un simulador en su computadora local.

    
respondido por el alex.forencich
0

Este problema se ha solucionado en EDA Playground, y el código ahora funciona correctamente.

El problema era que el archivo ff.txt era de solo lectura.

    
respondido por el Victor Lyuboslavsky

Lea otras preguntas en las etiquetas