Intenté abrir un archivo ff.txt y escribir en él algunos números aleatorios, por ejemplo, siete veces. Usé el sitio web de juegos de niños de EDA para ello. A continuación se muestra el enlace para ese código, así como ese código.
Cuando intento ejecutarme me sale un error como
** Advertencia: (vsim-3533) [FOFIW] - No se pudo abrir el archivo "ff.txt" para escribir.
Permiso denegado. (errno = EACCES): design.sv (6)
Mi pregunta es ¿por qué no tengo acceso al archivo ff.txt?
module fopenclose();
integer mcd,number;
initial begin
mcd=$fopen("ff.txt");
repeat(7) begin
number=$random;
$fdisplay(mcd,"Number is",number);
end
$fclose(mcd);
end
initial #2 $display("%d",mcd);
endmodule