Estoy intentando implementar un cierre simple en un ZedBoard a través de Vivado.
begin
process(D,Enable) begin
if(Enable = '1') then
Q <= D;
Qbar <= not(D);
end if;
end process;
Estoy usando la E / S del usuario en las restricciones para las entradas / salidas. Asigno el inpus a dos pines SWITCH y las salidas a dos pines LED.
El enrutamiento (fase de implementación) me da el siguiente error:
[Place 30-574] Mala ubicación para enrutar entre un pin IO y BUFG. Si esta condición subóptima es aceptable para este diseño, puede usar la restricción CLOCK_DEDICATED_ROUTE en el archivo .xdc para degradar este mensaje a una ADVERTENCIA. Sin embargo, el uso de esta anulación es altamente desaconsejable. Estos ejemplos se pueden usar directamente en el archivo .xdc para anular esta regla de reloj. < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Enable_IBUF] >
Enable_IBUF_inst (IBUF.O) está bloqueado en IOB_X1Y125 y enable_IBUF_BUFG_inst (BUFG.I) es colocado provisionalmente por clockplacer en BUFGCTRL_X0Y31 '
Las dos soluciones sugeridas para el problema son aquí y configurar el < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets Enable_IBUF] >
funciona pero lo haría Me gustaría entender la solución 1
1) Move the clock input to a clock capable pin.
ya que parece importante.
¿Cómo puedo encontrar una entrada con capacidad de reloj que pueda presionar / mover como lo hago en el interruptor?
Editar: Enlace de los pines ZedBoard (ya probé C19 - > FMC_CLK1)