cómo importar datos de MATLAB a ISE XILINX testbench

1

Para un proyecto, necesito tomar muestras de seno en MATLAB y, de alguna manera, necesito llamarlo en Xilinx ISE testbench, para poder usarlas para otras operaciones. Por favor, dime cómo hacerlo.

    
pregunta dev

1 respuesta

0

Desea crear estímulos de entrada en Matlab y usarlos en su simulador Xilinx ISE. Primero, necesita poner sus datos de Matlab en un archivo. La forma más sencilla es escribir los datos en un archivo línea por línea. Ejemplo:

% Make a 16-bit sin wave
data = floor(2^15*sin(0:0.001:10));

%open the file for writing
file = fopen('my_data.txt','w');
for i=1:length(data)
    fprintf(file,'%d\n', data(i));
end
fclose(file);

Ahora necesita usar esos datos en VHDL. La mayoría tengo ejemplos en Verilog, pero en VHDL puedes usar algo como esto:

Cómo leer un archivo de texto usando vhdl

Use file_open para abrir el archivo:

file_open (file_pointer, "my_data.txt", READ_MODE);

Y lea y lea para leer una línea y obtener su contenido:

readline (file_pointer, line_num); 
read (line_num, line_content);

Necesitará convertir su número en el tipo que lo necesite y generar las señales válidas / circundantes en consecuencia.

    
respondido por el stanri

Lea otras preguntas en las etiquetas