VHDL: Configuración de bits superiores de variable a cero durante la asignación con una variable más corta

1

Cuando uno tiene algo como

    variable a    : unsigned (3 downto 0);
    variable b    : unsigned (1 downto 0);

y uno quiere asignar los b'length bits más bajos de b a a , ¿es necesario hacerlo?

a )

a(a'left downto b'length) := (others => '0');
a(b'length -1 downto 0)   := b;

o se puede lograr lo mismo con

b )

a                       := (others => '0');
a(b'length -1 downto 0) := b;

o está ahí

c)

¿Incluso una forma más elegante? La opción a) parece funcionar, pero cada vez que quiero usarla, tengo la mala sensación de que esta podría no ser la forma correcta de hacerlo.

    
pregunta Andy Ef

0 respuestas

Lea otras preguntas en las etiquetas