DE0-nano I / O con cable TTL

1

Actualmente estoy trabajando en un proyecto sobre E / S con FPGA. Estoy usando el cable TTL-232R-3v3 para la comunicación en serie entre mi computadora portátil y DE0-nano. Quiero saber si es posible enviar una señal por cable RX a FPGA e iluminar los LED con esa señal o si se necesita UART.

Cualquier consejo u orientación sería muy apreciado, gracias por su tiempo.

editar-

Estoy usando un cable USB para la fuente de alimentación y estoy usando solo los cables RX, TX y GND del cable TTL. Aquí está mi código de choque

module Blinker where

import CLaSH.Prelude

{-# ANN topEntity
  (defTop
    { t_name     = "blinker"
    , t_inputs   = ["GPIO_IN"]
    , t_outputs  = ["LED"]
    , t_extraIn  = [ ("CLOCK_50", 1)
                   , ("KEY0"    , 1)
                   ]
    , t_clocks   = [ (altpll "altpll50"
                             "CLOCK_50(0)"
                             "not KEY0(0)")
                   ]
    }) #-}
topEntity :: Signal Bit -> Signal (BitVector 8)
topEntity gpio_in = mealy blinkerT 0 gpio_in
blinkerT :: Int -> Bit -> (Int,BitVector 8)
blinkerT a bit = (a,pack bits)
    where bits = repeat $ bit == high   
    
pregunta Ismail

3 respuestas

1

Comunicaciones de puerto serie inactivo alto (1). Si se conecta directamente al FPGA y se enruta a un LED sin una inversión lógica, verá que el LED se ilumina (asumiendo los voltajes adecuados y el variador de corriente). Para apagar (en su mayoría) el led, puede enviar un 0x0000_0000 binario constantemente a través del puerto serie y el led debería apagarse o apagarse. Siempre que la velocidad en baudios sea lo suficientemente baja como para que el programa de PC pueda saturar el enlace, enviará principalmente 0, con la excepción del bit de parada obligatorio del protocolo.

Dicho ejemplo, los ejemplos simples de puerto serie son comunes en la web y deberían ser fáciles de implementar.

    
respondido por el davidd
1

Su cable adaptador se conecta a un puerto USB en un extremo y proporciona un puerto serie tipo UART con niveles LVTTL en el otro. El cable convierte las comunicaciones USB en un puerto serie UART.

Necesitará implementar un núcleo UART dentro de su diseño lógico para su FPGA. Esto recibirá datos en serie y transmitirá datos en serie al UART en su cable.

Luego puedes conducir los DE0-Nano LED, etc., como quieras.

    
respondido por el TonyM
-1

Esta es la parte del Allegro Core. Seríaredundanteduplicarlasrespuestasqueyaexistenenlawebyestonosepuedesimplificara1página.

enlace .

    
respondido por el Tony EE rocketscientist

Lea otras preguntas en las etiquetas