Básicamente tengo una línea como esta en un código vhdl.
entity my_entity is
port(x : in std_logic_vector;
y : in std_logic_vector;
...);
end entity my_entity;
architecture arch of my_entity is
-- declarations...
signal delta : unsigned(...); --correct sizing
signal aux_signal : std_logic; -- correct sizing
signal another_signal : unsigned(...); --correct sizing
begin
delta <= x - y; -- x > y
aux_signal <= or_reduce(std_logic_vector(another_signal(to_integer(delta) downto 1)));
-- other stuff
end architecture arch;
la sintaxis está bien (verificada por ncvhdl), sin embargo, cuando intento la síntesis, las compilaciones de asignación como "aux_signal" dicen que necesita un valor constante.
Ya que necesito una asignación como esa ... ¿hay otra manera de lograr el mismo resultado?