IDE libre para VHDL y Verilog [cerrado]

27

Estoy interesado en aprender VHDL y Verilog. Me preguntaba si hay algún IDE gratuito para esos?

    
pregunta itsaboutcode

10 respuestas

27

Primero de todo: bienvenido al mundo del diseño lógico.

Segundo, debes entender el flujo de diseño del "flujo de diseño" (¡palabra importante!) en VHDL / Verilog:

  1. piensa en un diseño que quieras implementar, por ejemplo, un sumador
  2. implementar el diseño en VHDL / Verilog
  3. implementar un banco de pruebas en VHDL / Verilog
  4. use el banco de pruebas para simular su diseño (del paso 2)
  5. si esto funciona y la simulación es exitosa, intente sintetizar el diseño
  6. haga todas las demás cosas, como mapa, lugar y ruta
  7. construir un archivo .bit
  8. use su JTAG para programar su FPGA
  9. ¡GANANCIA! (con suerte)

así que, como puedes ver, estos son MUCHOS pasos. Para bastantes de ellos hay herramientas de código abierto disponibles. Solo gratis sería bastante aburrido, así que intentaré mostrarte lo que tiene el mercado.

  • para el paso 1) el más importante es Libre / openOffice Calc, papel y lápiz, y si tiene FSM grandes, tal vez Qfsm
  • paso 2) necesitas un buen editor, toma uno que te guste y todo está bien. Hay algunos especializados para VHDL, el mejor es sigasi (tienes que buscarlo en google, prevención de spam). Para esta tarea, ya puede utilizar uno de los IDE de grandes proveedores, pero confíe en mí, eso NO es lo que quiere
  • paso 3) - > paso 2
  • paso 4) aquí hay algunas posibilidades: Xilinx ISim, (Altera) Modelsim, (Lattice) Aldec, ghdl en combinación con GTKWave. Creo que hay más simuladores, pero esto debería ser suficiente para empezar. Todas estas herramientas son solo Simuladores, aunque traen un IDE completo (excepto de ghdl).
  • paso 5) hazte un favor y usa la herramienta provista por el fabricante de tu FPGA. si tiene la experiencia suficiente, también puede probar Icarus Verilog .
  • paso 6 y 7) no hay otra oportunidad que usar las herramientas de proveedores
  • paso 8) muchas herramientas lo hacen, incluso las herramientas proporcionadas por los proveedores de FPGA. Me gusta usar commandlinestuff, por lo que sigo usando algunos programas parpadeantes poco claros, pero las herramientas de venta también están bien.

espero que pueda ayudar

    
respondido por el milch
6

Cualquier IDE de programación o editor de texto puede usarse con los lenguajes de descripción de hardware, y cualquier persona decente debería poder lanzar una cadena de herramientas de compilación (simulación de objetivos o hardware). Como resultado, la pregunta real para lograr algo es "qué compiladores de HDL gratuitos están disponibles", con respuestas como Icarus Verliog, GHDL, etc. Combínelos con emacs o lo que sea y estará listo.

Sin embargo, cuando muchas personas piden un "IDE", lo que quieren decir es algo elegante, listo para usar, a menudo con algunas sugerencias / ayuda contextuales. La respuesta general para eso es la "versión web" limitada de los conjuntos de herramientas internos ofrecidos por las principales empresas de FPGA, como Xilinx (ISE) o Altera (Quartus). No tiene que tener realmente ningún hardware de la compañía respectiva para compilar diseños, o para jugar con cualquier licencia (típicamente de tamaño o tiempo limitado) para un simulador que incluyen. Dicho esto, los $ 50-150 para obtener una placa FPGA básica pueden hacer que la experiencia sea mucho más "real" y exponerte a algunas diferencias sorprendentes a veces entre lo que sucede en un simulador y en un circuito real (generalmente como resultado de cosas que tienes). descuidado para especificar completamente, donde el simulador asume una cosa y el hardware hace otra cosa)

    
respondido por el Chris Stratton
4

Actualmente estoy usando el IDE gratuito de Xilinx. Puedes descargarlo aquí (asumiendo que no vives en Corea del Norte, etc.): enlace

Actualmente se llama "ISE Design Suite", pero a lo largo de los años, Xilinx ha cambiado su nombre. Si bien es gratis, no se puede usar en los FPGA Xilinx realmente grandes o muy modernos. Lo estoy usando ahora mismo en un diseño Spartan6 LX45, el tablero Digilent Atlys (que actualmente cuesta $ 200 para las personas en el mundo académico, $ 349 para los que están afuera): enlace

El otro proveedor principal de FPGA es Altera. También tienen tableros de prueba y un IDE gratuito llamado "Quartus": enlace

    
respondido por el Carl Brannen
4

Mejor opción: Siagsi . Ya sea de forma independiente o como complemento de Eclipse (se verán muy similares). La versión gratuita no tiene funciones de refactorización de código y similares, sino que se reduce a un "IDE VHDL": exactamente lo que desea.

    
respondido por el Carl
3

Con los IDE regulares , estás atascado con lo que te ofrecen. Pero con Emacs 24 , ¡puede personalizarlo a cualquier extraño deseo que tenga! Lo uso con prelude , y modos de resaltado-sangría . ¡Mira que puro!

Emacs no es exactamente un IDE, pero ¿por qué no hacerlo?

  • Control de versiones
  • Teclas de acceso rápido para ejecutar su compilador externo, herramienta de pelusas, simulador, crear archivos, etc.
  • Puede agregar código plegado
  • Teclas de acceso rápido para insertar bloques de código comunes
  • Comentarios automáticos
  • ¡Probablemente ya lo tengas!
respondido por el travisbartley
3

Aquí hay un par de IDE libres:

SystemVerilog, Verilog, VHDL y otros HDL

  • EDA Playground es un IDE basado en navegador web que ofrece un editor con resaltado de sintaxis y una selección de simuladores. Como se ejecuta desde un navegador web, no hay nada que instalar. Es bueno para pequeños prototipos, pero no para grandes proyectos.

SystemVerilog and Verilog

  • SVEditor es un complemento de Eclipse. Necesitas proporcionar tu propio simulador. Además, indexa 1 archivo a la vez, lo que es más restrictivo de lo que permiten muchos simuladores comerciales. Recomiendo tener un solo archivo de nivel superior para la indexación.
respondido por el Victor Lyuboslavsky
1

No hay realmente un IDE completo disponible para el diseño de RTL.

Su mejor apuesta es comenzar con emacs o vi con un complemento de sintaxis vhdl o verilog, y reasignar algunas teclas de función para compilar, ejecutar y hacer algunas cosas básicas de control de versión. La finalización del código básico está integrada en los editores, pero en realidad no son compatibles con VHDL / Verilog.

    
respondido por el SDGator
1

Dado que aún no se han mencionado aquí:

respondido por el Gima
0

Para Verilog, hay un nuevo editor disponible en enlace Está basado en Eclipse y actualmente está en versión Beta.

    
respondido por el Philippe
0

Recientemente encontré un editor de VHDL / Verilog bastante bueno ( enlace ), que es un complemento para Microsoft Visual Studio. Si eres estudiante, es gratis. De lo contrario, una licencia cuesta aproximadamente 30EUR.

Si conoce y le gusta Visual Studio, le gustará este complemento. También es bastante sofisticado, ya que admite el cambio de nombre, la búsqueda de referencias, la definición de goto, la finalización de código, etc. La mayoría de los editores (gratuitos) que probé antes no tenían esas características.

    
respondido por el VhdlSeppl

Lea otras preguntas en las etiquetas