Shift Register Vs Multiplexer

2

No estoy seguro de una implementación. Tengo un multiplexor de 8 entradas, 1 salida y 3 señales de selección. Uno de estos selecciona la señal secuencialmente adquiere todo el valor de un vector de bits. Ahora puedo elegir 2 vías.

La primera forma es usar otro multiplexor donde la entrada es el vector de bits y la señal de selección es un contador log2 de la entrada.

La segunda forma es usar un registro de desplazamiento y tomar el bit LSB del vector.

¿Cuál es la mejor solución en términos de área en un FPGA

    
pregunta haster8558

1 respuesta

2

Aquí hay algunos pensamientos sobre las costas de hardware:

registro de desplazamiento de 8 bits en virtex-5, spartan-6
Una rebanada contiene 4 LUTs y 4 registros. Necesitarás 2 rebanadas para almacenar 8 bits.

Registro de desplazamiento de 8 bits en virtex-6, serie 7
Una rebanada contiene 4 LUTS y 8 registros. Puede almacenar el byte completo en una porción.

Contador de 3 bits
Los pequeños contadores se implementan utilizando LUTs y registros. Este contador encaja en una rebanada

Multiplexor 8to1
Una arquitectura LUT de 6 entradas puede asignar 4 a 1 muxes en una LUT. Un mux 8to1 requiere dos muxes 4to1 y un mux (mux-tree) 2to1. Las celdas de corte FPGA de Xilinx contienen primitivas F7MUX y F8MUX adicionales que se pueden usar para crear muxes más amplios. En caso de 8 bits: 2 LUTs y un F7MUX. En total: la mitad de una rebanada.

Nota 1:

Si está utilizando un registro de desplazamiento, también necesita saber cuándo dejar de cambiar, si no se trata de una canalización.

    
respondido por el Paebbels

Lea otras preguntas en las etiquetas