Simulador Verilog o entorno de desarrollo en Mac OS

3

¿Hay alguna forma de desarrollar algunos diseños de Verilog en mac?

    
pregunta Halst

3 respuestas

1

escucho eso Icarus Verilog apoya Macintosh OS X .

    
respondido por el davidcary
1

Puede probar verilator si icarus verilog no funciona para usted. O tal vez incluso si lo hace.

    
respondido por el old_timer
0

Siguiendo con lo que mencionaron @Sergio Campama y @jpc, considere la virtualización. Si desea evitar Windows, varios proveedores, incluido Model Sim, admiten Linux. RHEL 5 es el más comúnmente compatible.

    
respondido por el Brian Carlton

Lea otras preguntas en las etiquetas