¿Por qué el bus axi es popular para fpga?

4

He estado desconcertado por esto durante años. ¿Por qué la industria del diseño de hardware está presionando tanto en el uso del bus axi para comunicarse con núcleos y periféricos que no obtienen ninguna ventaja de su uso en un FPGA?

¿Cuál es la ventaja de utilizar el bus axi en un bus más eficiente para la señal? Incluso el axi lite parece una exageración la mayor parte del tiempo. Podría suponer que si está cerca de la tubería de la CPU funcionando a 3 GHz, ¿tal vez tenga que usar algo así? pero todavía tengo que encontrar un fpga de Xilinx o altera que funcione incluso con el 10% de esa tasa y, sin embargo, existe el requisito de bus axi para todos los núcleos IP de Vivado.

Miro a Xilinx Vivado y veo axi bus en todas partes, con extraños envoltorios latentes alrededor de buses locales más normales para traducirlo de nuevo a axi bus. No lo sé. Simplemente todo me parece extraño.

Como diseñador lógico, realmente no tengo la opción de seguir la convención de axi cuando ya configuran cada núcleo de esa manera. De lo contrario, dedicaría todo mi tiempo a eliminar los archivos de envoltura de axi.

Supongo que me pregunto qué ven en el bus axi que no veo. ¿Es esto solo una conspiración extraña para mantener a todos ocupados cableando autobuses juntos y para vender FPGA más grandes y costosos que hacen exactamente lo mismo?

    
pregunta Bill Moore

1 respuesta

3

El bus AXI es eficiente para transferencias de datos de alto rendimiento. Si tiene periféricos simples, el procedimiento consiste en utilizar un convertidor de AXI a APB e impulsar todos sus periféricos simples desde el bus APB.

AXI es excelente si tienes, por ejemplo. un núcleo USB / PCIe / Ethernet. Usted agrega un maestro AXI y lleva los datos directamente a la memoria, utilizando ráfagas de datos muy eficientes.

He usado AXI durante muchos años y descubrí que nadie en ASIC land implementa las especificaciones completas de AXI en su chip, incluso dentro de una empresa. Las partes más complejas se eliminan para reducir la lógica, lo que proporciona historias interesantes si AXI IP se reúne ...

Lo que me llamó la atención es que muchos núcleos más pequeños (incluso los del fabricante que ha establecido el estándar AXI) no utilizan todas las capacidades de AXI. Las hojas de datos del núcleo que he visto dicen "Solo verás ciclos de transferencias simples que salen del núcleo".

    
respondido por el Oldfart

Lea otras preguntas en las etiquetas