¿Puede llamar código verilog desde un programa c que se ejecuta en un procesador de software en un FPGA?

-2

Tengo un procesador NIOS II suave creado en un FPGA de Altera. Tengo 4 pines JTAG conectados desde el FPGA a un chip FTDI (llamémoslos A1, A2, A3, A4). También tengo los pines JTAG de 2 MCU conectados al FPGA (llamémoslos B1, B2, B3, B4 y C1, C2, C3, C4).

En el software que se ejecuta en el NIOS, quiero poder seleccionar a qué dos conjuntos de pines quiero conectar (es decir, de A a B o de A a C).

Tienes que hacer asignaciones de pines en verilog sin embargo. ¿Hay alguna forma de llamar a un bloque de código verilog desde un programa c que se ejecuta en el NIOS?

    
pregunta lucozade

2 respuestas

9

No. No puedes llamar a Verilog (que es hardware) desde C.

(Bueno, puedes hacerlo en un simulador, pero esa es otra historia).

Pero puede escribir datos en una dirección de memoria en C.

En Verilog, puede asignar esa dirección de memoria a un registro, y conectar las salidas de ese registro a las entradas de control de un multiplexor, para seleccionar entre diferentes señales. Esa es una pieza simple de diseño de hardware.

Ahora, al escribir en esa dirección en su programa C, puede seleccionar diferentes señales.

    
respondido por el Brian Drummond
0

Observe la capacidad de crear instrucciones personalizadas para el procesador NIOS. Está disponible en las herramientas de Altera. Creo que esa característica puede permitirte hacer lo que quieres.

    
respondido por el JCM II

Lea otras preguntas en las etiquetas