Realiza el registro interno a un .txt en Verilog

-1

Me gustaría escribir un registro interno en un archivo txt.

He intentado algo como:

    f = $fopen("conv2_tb_output.txt","w");
    $fmonitor(f, value=%d\n", mat_out);
    $fclose(f);

Pero dado que mat_out es un registro interno, recibí un error: no está declarado.

¿Alguien sabe cómo escribir registros internos en un archivo txt?

Gracias de antemano

    
pregunta user204415

1 respuesta

0

Supongo que está intentando acceder a un registro dentro de un módulo desde un banco de pruebas que crea una instancia de ese módulo. En este caso, creo que lo que necesita hacer es usar una referencia jerárquica. En lugar de usar mat_out, use module_instance_name.mat_out donde module_instance_name es el nombre de la instancia del módulo que contiene mat_out.

    
respondido por el alex.forencich

Lea otras preguntas en las etiquetas