Cómo indicar al planificador de pin que no conecte una señal de IO

-1

En mi diseño CycloneV, tengo un puerto GPIO de 64 bits, pero solo quiero conectar 40 pines en mi diseño.

Si lo dejé desconectado, Quartus intentará colocarlo y generará un error porque no hay suficientes E / S.

¿Hay alguna forma de indicar al planificador de pines (QuartusII 14.0) que no conecte los otros 24 pines? ¿O es obligatorio modificar mi código VHDL?

[editar] Según lo solicitado, aquí mi declaración de entidad:

Entity gpiochecker is
  port(
        [...]
        gpio0_export : inout std_logic_vector(63 downto 0) := (others => 'X'); 
        gpio1_export : inout std_logic_vector(63 downto 0) := (others => 'X')  
 );
end entity;

[editar]

Encontré una solución para el pin de entrada o : utilizando virtual pin :

set_instance_assignment -to signal_name -name VIRTUAL_PIN ON

Pero eso no funciona en mi caso porque mis pines IO son bidireccionales.

    
pregunta FabienM

1 respuesta

1

Si no desea modificar su código VHDL, puede crear una nueva entidad de nivel superior y luego crear una instancia de la existente dentro de ella. Entonces tendrá total libertad sobre si conectar cada pin o no, incluidos los pines de entrada o salida.

    
respondido por el rick

Lea otras preguntas en las etiquetas