Preguntas con etiqueta 'xilinx-sdk'

2
respuestas

Linux en un FPGA Xilinx sin EDK

Me disculpo si esto parece una pregunta tonta, pero ¿es posible obtener un FPGA Xilinx (específicamente, el Artix-7 35T) para ejecutar Linux sin el uso del EDK de Xilinx? He encontrado algunos tutoriales como éste pero parecen para requerir el...
2
respuestas

Cross GNU ARM Toolchain

Como principiante, estoy confundido con la configuración de la cadena de herramientas adecuada (todo el concepto es nuevo para mí). Mi objetivo es programar el ARM Cortex-A9 en la placa Zynq 7000 utilizando el SDK de Xilinx. Para escribir el...
1
respuesta

Herramientas ISE de diseño de Xilinx - Ver bloques de memoria RAM usados

Supongo que es una pregunta bastante fácil pero realmente no pude resolverlo. Hice un diseño con Xilinx ISE Design Tools que usa una tabla de búsqueda que se guarda dentro de BlockRAM. ¿Dónde puedo ver la cantidad de BlockRAM que usé y cuántas s...
1
respuesta

No se puede programar el FPGA de Xilinx con el proyecto MicroBlaze en el SDK - falta el archivo download.bit

Tengo un proyecto FPGA de Xilinx que armé en Vivado 2014.4 (64 bits en Linux). El proyecto utiliza un MicroBlaze. He escrito mi firmware MicroBlaze en Xilinx SDK 2015.1. Mi hardware de destino es el Digilent Nexys4DDR con un Xilinx Artix-7. H...
1
respuesta

No se puede enviar un número de dos dígitos utilizando realterm a xilinx microblaze a través del puerto serie

Estoy usando el ejemplo predeterminado que se puede encontrar aquí . La parte del código que me interesa es la siguiente: #define TEST_BUFFER_SIZE 16 u8 SendBuffer[TEST_BUFFER_SIZE]; u8 ReceiveBuffer[TEST_BUFFER_SIZE]; for (Ind...
1
respuesta

Análisis de ciclos de reloj de Zedboard

Basado en el ejemplo en aquí , probé un ejemplo muy similar (pero en lugar de multiplicar dos matrices simplemente multiplico todos los elementos en una matriz por 2.0). Sin embargo, al comparar los resultados de multiplicar una matriz de 32...
1
respuesta

Vivado "Exportación de hardware" paquetes de flujo de bits desconocido

Tenemos un proyecto Zynq en Vivado 2017.4. Puedo generar el flujo de bits, en proj/proj.runs/impl_1/mybitstream.bit . Luego quiero importar esa configuración a mi proyecto de petalinux, así que uso File > Export > Export Hardwa...
0
respuestas

¿Cómo ubicar la unidad de tarjeta SD en la placa Xilinx Zynq ZCU102 utilizando el terminal xcst?

Estoy usando un kit de evaluación Xilinx Zynq UltraScale + MPSoC ZCU102. Quiero ejecutar un programa C ++ en la herramienta Xilink SDK (que se ejecuta en una máquina con Windows) que puede realizar operaciones de E / S de Filo en un archivo bina...
3
respuestas

¿Conectarse a Xilinx Zynq 7030 a través de la conexión JTAG?

Imagina que estás intentando escribir aplicaciones Bare Metal en una placa Xilinx Zynq 7030. Ya que quemar tarjetas SD todo el tiempo se vuelve aburrido, debes establecer una conexión JTAG. Obtiene un cable de programación JTAG HS3, configura...
0
respuestas

¿Cómo implementarlo si, de lo contrario, utiliza el conjunto de bloques Xilinx en Simulink?

Estoy implementando un PWM de múltiples referencias para un inversor multinivel. Estoy usando el conjunto de bloques Xilinx para la simulación. Necesito usar la declaración if else . ¿Existe algún otro método que no sea MCode para implemen...