Preguntas con etiqueta 'signal'

1
respuesta

Ver si la señal se amplifica sin un osciloscopio

Soy un novato en electrónica, pero he tenido cierta experiencia con osciloscopios en el laboratorio de la universidad. Sin embargo, necesito diseñar y cablear un circuito simple que pueda leer valores de un micrófono electret. Dado que el micróf...
1
respuesta

Hacer que el dispositivo envíe datos a teléfonos inteligentes: rango de 100 m, ancho de banda de 1kb / s, baja potencia

Lo que quiero hacer: un dispositivo que envía una notificación inmediatamente si el dispositivo incurre en un momento de alta aceleración. La notificación debe denotar la aceleración. No hay garantía de que haya un. El dispositivo debe ser muy p...
3
respuestas

¿Cuál es el problema con la frecuencia de resonancia?

Durante el último día, más o menos, he estudiado el tema de la frecuencia de resonancia en algunos circuitos. Ahora, podía ver fácilmente que cada vez que mi circuito operaba en esa frecuencia de resonancia, ocurría algo especial. Soy consciente...
2
respuestas

Audio - Gráfico actual

La mayoría de las señales que salen de una fuente de audio están representadas por el gráfico de voltaje / tiempo; Me gusta esto - Entonces, ¿cómo se vería el gráfico de corriente / tiempo y qué sucederá si lo modificamos?     
2
respuestas

Entrada analógica diferencial de modo común

Estoy usando el IC LTC5598 para la modulación. Estoy confundido en cuanto a cómo introducir correctamente la señal analógica de mi BaseBand en el chip. Según su hoja de datos :    El circuito está optimizado para un voltaje de modo común...
2
respuestas

Asignar binario en VHDL

Recibo un error de sintaxis cerca de data0_sim en el siguiente código: nuevo en vhdl y confuso, ya que creo que esto debería funcionar: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponent...
1
respuesta

alimentando a otro circuito una señal de inicio (inicializador) con d-latch (1,0,0,0,0…)

Uno de nuestros profesores nos ha mostrado un sistema para la compilación de hardware que utiliza pulsos de control. De todos modos, básicamente quiero generar un impulso de control de inicio de 1 para alimentar a otro circuito. Sin embargo,...
2
respuestas

¿Qué es la señal de imagen y video?

La señal es algo que lleva información. Generalmente, algo es un patrón de variaciones de la cantidad física que puede ser manipulado, almacenado o transmitido por un proceso físico. Me pregunto cómo la imagen puede ser una señal. Ok, está almac...
1
respuesta

Método para enviar múltiples (16) canales de señal modulada de ciclo de trabajo sobre media-larga distancia

Problema ¿Cuál es el mejor método o solución estándar de la industria para transmitir múltiples canales (13 señales, 3 en tierra) de señales moduladas cíclicamente en servicio hasta 25 pies? Los datos serán transmitidos por una computadora (B...
1
respuesta

Elegir un circuito detector de RF para mi generador de barrido

Mi generador de barrido recientemente adquirido (wobbulator) no tiene un circuito detector de RF incorporado, a diferencia de otros (algunos modelos Wavetek). Entonces, decidí construir uno. Me sorprendió la cantidad de variaciones de este circu...