Preguntas con etiqueta 'floating-point'

1
respuesta

Convertir int a flotar y viceversa en HDL

Estoy intentando escribir un código HDL para convertir números de punto flotante en formato IEEE-754 a enteros y viceversa. Por ejemplo. for floatToInt operation: input = 8.9 (in IEEE-754 format) output = 9 (an integer number)...
1
respuesta

Generador del sistema: un bloque para cambiar el signo de un punto flotante

Estoy trabajando con números de punto flotante en System Generator. Necesito realizar esta operación aritmética y = x * (- 1) . Creo que podría hacerse usando el bloque mult, pero no me gusta de esta manera porque es muy costoso en términos de...
2
respuestas

¿Los números de punto flotante no están normalizados antes de que el procesador realice operaciones aritméticas en ellos? [cerrado]

¿El procesador no normaliza los números en la notación IEEE 754 y normaliza el resultado después del almacenamiento o se realizan las operaciones aritméticas en los números como están? Lo pregunto porque en caso de multiplicación, digamos que lo...
0
respuestas

representación en coma flotante: ¿por qué usar el exponente sesgado?

Tenemos 8 bits para el exponente. Si usamos bits firmados, tenemos un rango de -128 a 127. Si usamos bits sin signo como el estándar IEEE 754, tenemos un rango de 0 a 255. Luego de restar 127 (el exponente sesgado), tenemos un rango de -12...
1
respuesta

no puede leer y almacenar en la variable global en la función O puede, pero se produce un error al leer el sensor ds18b20

Estoy utilizando Atmega8 con CVAVR 2.05.0 e intento modificar ligeramente la forma en que funciona la biblioteca ds18b20. Quiero almacenar las temperaturas de los sensores en una matriz global y comenzar la conversión de todos los sensores a la...
1
respuesta

La mejor manera de pasar números de punto flotante a DDR - Zedboard

Tengo un Zedboard e hice un bloque PL en Vivado HLS que devolverá millones de flotadores al DDR a través de un bloque DMA AXI, y esos resultados serán leídos por el PS desde la memoria. El problema es que no puedo encontrar una manera de leer...
4
respuestas

Convertir IEEE doble a entero - Verilog

Quiero convertir el valor doble de IEEE calculado en mi código a entero. Por ejemplo, He calculado: X = 64'hxxxxxxxxxxxxxxxx; Ahora quiero usarlo como índice de una matriz como: some_array [X]; ¿Cómo puedo hacerlo? ¿Hay algún IP-Core o alg...
1
respuesta

¿Cómo calcular el estándar IEEE 754?

Tengo que calcular el punto flotante hacia atrás al decimal. el número es diferente (signo de 1 bit, exponente de 3 bit 6 Mantisse de bit) 1 | 101 | 100100 entonces lo calculé al revés y obtuve -6.4 Pero creo que esto está mal. Cálcu...