Problema de frecuencia del generador del sistema

0

Tengo un diseño en el generador del sistema Xilinx que cumple con la frecuencia máxima de 50MHz (lo encontré en Timing and Power Analyzer de System generator ). Sin embargo, mi placa FPGA ofrece una frecuencia de reloj de 100MHz . ¿Cómo podría resolver este problema? (¿Hay alguna manera como crear un reloj dividido que se pueda asignar al período de reloj FPGA de opciones de sincronización en token del generador del sistema ?)

    
pregunta BG100

1 respuesta

1

No soy un experto en esto, pero un poco de investigación (30 segundos) sobre nuestra búsqueda de búsqueda favorita me llevó a alguien que tenía exactamente el mismo problema hace 5 años. Creo que puedes continuar desde allí, aunque la frecuencia sea un poco diferente. enlace

    
respondido por el 1amtoo1337

Lea otras preguntas en las etiquetas