VHDL: usando señales internas en testbench

0

Estoy tratando de usar una de las señales internas de mi diseño en mi banco de pruebas. Ya sé cómo lo haría en Verilog:

Goertzel i1 (
// port map - connection between master ports and signals/registers   
);
.
.
.
always @ (posedge i1.en) //do something

en es la señal de activación que genero en mi sistema, es una señal declarada en el módulo superior, que se crea en un elemento del sistema y luego se distribuye a algunos de los otros elementos a través de mapas de puertos.

Entonces, en VHDL testbench, quiero hacer algo como:

uut: Goertzel PORT MAP (
          RST => RST_s,
          CLK => CLK_s,
          X => X_s,
          Y1 => Y1_s,
          Y2 => Y2_s
        );
.
.
.
wait until "/goertzel_tb/uut/en/" = '1';  //path to variable, read in Questa

¿Cuál es la sintaxis correcta? ¿O hay una manera de hacer esto?

EDITAR: intentado esto: Declaró spy_en señal dentro del archivo TB, y luego lo hizo:

spy_en <= << signal.goertzel_tb.Goertzel.en : std_logic >>;

Questa da errores.

    
pregunta Rorschach

2 respuestas

1

No es exactamente lo que estaba buscando, ¡pero funciona como debería! ¿Cómo puedo obtener señales internas? para hacer pruebas en VHDL 97 e ISim?

    
respondido por el Rorschach
1

La sintaxis a la que se refiere se agregó en VHDL-2008. Si su simulador lo admite, puede hacer lo que quiera según lo descrito aquí . Es probable que ISim no tenga soporte para VHDL-2008.

Sin VHDL-2008, sus únicas opciones son la funcionalidad específica del proveedor del simulador, usar señales globales como en su respuesta o con puertos de depuración en su entidad.

    
respondido por el pc3e

Lea otras preguntas en las etiquetas