Miré a mi alrededor en SE, pero no pude encontrar nada que funcionara correctamente para mí.
Estoy buscando una forma de convertir un signal_vector de 4 bits en un entero. Sin embargo también hago cálculos sobre señales. Esto significa que necesito la biblioteca llamada
use IEEE.std_logic_arith.all
Esto es (la versión condensada de) lo que tengo hasta ahora:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
USE ieee.numeric_std.ALL;
use IEEE.std_logic_unsigned.all;
signal counter: std_logic_vector(3 downto 0);
counter<=counter + "0001";
...
if ((to_integer(counter)) < (to_integer("0100"))) then
-- do something
end if;
esto me da el siguiente error: el identificador "unsigned" no está visible directamente.