VHDL: ¿Cómo convertir Bit_Vector a Std_Logic_Vector?

0

Quiero mover datos de " Bit_vector " a " Std_logic_Vector ". Para eso, quiero convertir Bit_Vector en Std_Logic_Vector. Por favor ayúdame por lo mismo.

    
pregunta tollin jose

1 respuesta

7

Pruebe solución de Srinivasan Venkataramanan

  

Use la función To_StdLogicVector del paquete IEEE.STD_LOGIC_1164 para hacer esto:

     

FUNCTION To_StdLogicVector (b: BIT_VECTOR) VOLVER   std_logic_vector;

    
respondido por el Sarrk

Lea otras preguntas en las etiquetas