He bosquejado mi circuito integrado para una etiqueta RFID. ¿Cómo puedo llegar a un producto físico real?

0

Estoy en una situación en la que quiero crear un prototipo real de una etiqueta RFID. He esbozado todo el IC en un papel. Tengo un problema, quiero construir esto con una etiqueta RFID de tamaño real y queremos crear el IC nosotros mismos si es posible. Sé un poco sobre el proceso de crear un producto físico real. Entonces, ¿podría alguien con experiencia en este campo ayudarme con los siguientes pasos para crear un producto físico real? ¿Qué tipo de equipos se necesitan para crear un IC?

    
pregunta user8037

2 respuestas

9

En su pregunta anterior, se equivocó al utilizar la terminología incorrecta. Lo que está describiendo como un chip, técnicamente NO es un ASIC pero caería bajo el dominio de un "IC personalizado completo", este es el dominio de circuitos analógicos, sensores, ADC, DAC, etc.

Algunas de las mismas herramientas y técnicas se utilizan en sub-bloques en diseños totalmente personalizados, pero lo que falta en un flujo ASIC son bloques estándar para inductores, antenas, moduladores de RF, etc. - y nuevamente, esto no es universal ya que algunas compañías tienen algunas de estas celdas disponibles.

Así que la respuesta no es tan blanco y negro. El otro problema es que hay muy pocos diseñadores de chips en este intercambio, así que obtienes respuestas "correctas". Sin embargo, los detalles son lo que importa.

Hablemos de los costos de la fundición de charlas:

1º hay MOSIS - que es una lanzadera para muchas fundiciones en universidades e industrias.

Segundo, hay lanzaderas en una fundición dada

Los costos indicados en el otro post para los recorridos de traslado son aproximadamente correctos, usted obtiene una cantidad limitada de dados a bajo costo, esto se aplica a MOSIS y a las lanzaderas en general. NO es una solución de fabricación, ya que no se puede volver a ejecutar ese conjunto de máscaras. Más para la prueba de principio. Los rendimientos pueden ser terribles porque no puedes controlar lo que otras personas están dejando de lado y eso puede afectar tu diseño.

3º hay MLM en una fundición dada - esta es una máscara de múltiples capas - lo que significa que en una máscara determinada hay retículas para múltiples capas. - destinado a la fabricación a pequeña escala y está destinado a reducir el costo de NRE (costos de MÁSCARA). Para 180nm en obleas de 200 mm (la razón por la que elijo esto es más abajo), esto será de alrededor de $ 10K, y de alrededor de $ 2000 por oblea para un mínimo de 12 obleas, normalmente ejecutará 20 o más obleas con splits. Entonces tal vez $ 25K.

He hecho diseños (5 hasta ahora) para clientes en los que se ejecutó un conjunto de máscaras MLM una vez, y luego el lote de 25 obleas es muy bueno para respaldar la producción durante los próximos 5 años. La fundición fue genial con eso.

4º hay un conjunto de máscaras completo - para producción en volumen. NRE para fracturas y máscaras te costará ~ $ 40K - $ 60K. Las obleas le costarán $ 1000 - $ 1100 para un inicio de lote de bajo volumen. Así que con NRE y el precio de lote, los primeros lotes le costarán alrededor de $ 100K. Los costos pueden ir tan bajos como $ 600.

Todo lo anterior supone que tiene las bases de datos GDSII listas para ejecutarse.

Realizar el diseño y el diseño le costará más, principalmente en costos laborales. Y costos de herramientas. - como mínimo, necesitaría 1) una herramienta de esquema, 2) herramienta de especias (obtiene los mazos de especias de la fundición) 3) herramienta de diseño, 4) LVS, herramienta de verificación de DRC.

Le recomiendo que use herramientas LVS / DRC estándar de la industria de Cadence o Mentor. Estos son caros, pero hay muchos contratistas que pueden firmar sus chips utilizando esas herramientas por $ 3K - > $ 10K dependiendo de la complejidad. Lo que mejora el alquiler de la herramienta a $ 300K por año y tener que aprender a usarla. Pero un estudiante de posgrado podría ser capaz de colarse en una carrera por ti.

Si tiene mucho digital, ahora está hablando de que estos sub-bloques están más en el flujo ASIC clásico con Verilog / VHDL y luego una Síntesis (usando una biblioteca de la fundición) y luego Place & Software de ruta (P & R) para obtener el diseño. Las herramientas de síntesis y P & R son incluso más caras por año.

¿Por qué elegí 180 nm - 200 mm? Bueno, hay una serie de fundiciones que están ejecutando estos procesos. RF / ID no se ejecuta tan rápido, pero 180 nm puede ir tan rápido como 1.5 GHz, que maneja un chip RFID fácilmente. Y como estas fundiciones están totalmente amortizadas, son relativamente baratas. Sé de 4 a 5 fundiciones diferentes que realizarán una sola ejecución y un volumen bajo.

Si decide que desea obleas de menos de 65 nm y 300 mm, todos estos costos van por la ventana.

Realmente se reduce a la experiencia. Si no lo has hecho antes, la curva de aprendizaje es desalentadora y la posibilidad de que un chip se ejecute la primera vez es muy baja.

En manos experimentadas, el lado del diseño podría tomar $ 20K hasta $ 2 millones, dependiendo del diseño, la complejidad y la experiencia del equipo.

Para las fichas pequeñas, puede estimar el número de fichas por oblea al dividir el área de la oblea por el área de la matriz. Use 180 mm (no 200 mm, ya que hay un límite de 10 mm alrededor del exterior de la oblea) para el diámetro de la oblea. también aumenta el tamaño del troquel en 100 u en ancho y también en altura para los carriles de scribe. Así que si tienes un dado de 1 mm por 1 mm, obtendrás Pi * 90 ^ 2 / 1.1 ^ 2 ~ = 21,000 dado bruto.

El tamaño del troquel se puede estimar buscando en línea las cifras de densidad de transistores que se publican.

    
respondido por el placeholder
1

( rawbrawb ya ha dado a una excelente respuesta sobre los costos, por lo que me centraré más en un flujo de diseño )

Obtener un IC fabricado es un proceso mucho más complejo que el que se fabrique otro producto (por ejemplo, una placa de circuito), hay muchos más pasos involucrados.

Por lo que recuerdo de mis clases de diseño de IC, es probable que necesite un diseño personalizado completo (hasta el nivel de transistor, en lugar de diseñar en el nivel de puertas lógicas). Harías algo como:

  1. Obtenga una comprensión profunda del diseño analógico, digital y RF IC. El trabajo digital generalmente se realizará con VHDL o Verilog, pero para el análogo no tiene muchas opciones.

  2. Aprenda el flujo de diseño (esquema, diseño, DRC, LVS) con circuitos simples (amplificadores, comparadores, puertas lógicas, etc.) para su herramienta elegida (Cadencia, Mentor, Sinopsis, etc.). Hay algunas herramientas de código abierto para eso, pero no sé qué tan buenas son. Esas herramientas son bastante caras, pero es probable que tu universidad (si eres un estudiante) tenga acceso a ellas si tienen un programa de educación en microelectrónica.

  3. Lea documentos de personas que le han hecho cosas similares a usted para tener una idea de qué tecnologías usar. Ir a conferencias y hablar con otros diseñadores. Decide sobre una tecnología y encuentra cuánto costará.

  4. Obtenga los parámetros del transistor para esa tecnología (lo necesitará para las simulaciones de su circuito) y comience a diseñar. Consigue cosas trabajando en simulación.

  5. ¿Todas las obras? Distribúyalo, ejecute DRC y LVS para verificar que su diseño se pueda fabricar y que su diseño coincida con el esquema; luego, genere los archivos para la fabricación y envíelos a la fundición.

  6. Diseñe un banco de pruebas para que sus IC prueben que realmente funcionan.

Esto es solo un ejemplo; en el mundo real, la serie de pasos es bastante no lineal e iterativa.

Es posible que desee echar un vistazo a MOSIS , ya que ofrecen una forma más asequible de fabricar IC. Pero incluso entonces, obtener un IC (especialmente un RF) es una tarea muy compleja que requiere mucha gente especializada.

    
respondido por el Renan

Lea otras preguntas en las etiquetas