Error de sintaxis (10500) al compilar el código VHDL: cerca del texto "cuando", esperando ":"

1

Quiero compilar mi código pero recibo varios errores en torno a una declaración que se repite varias veces en la arquitectura. El mensaje de error (Error 10500) dice que ":" falta cerca de "cuándo", pero para mí todo parece ser coherente. Parte del código se pega a continuación. Como ve, se queja de la fila 308 donde se usó una instrucción WHEN.

He comprobado esta parte específica y el código completo, pero no encuentro nada inconsistente al respecto. La declaración condicional termina con un punto y coma como debería y es coherente con la sintaxis de una instrucción WHEN de acuerdo con esta página web: enlace

    
pregunta bojee

0 respuestas

Lea otras preguntas en las etiquetas