¿Cómo uso el oscilador a bordo?

1

Tengo la Junta de Desarrollo Mínimo CPLD MAX II EPM240 que tiene un oscilador de 50 MHz incorporado. De acuerdo con el "Diagrama esquemático EPM240MAINBOARD" file , la salida del oscilador va al PIN 63, pero no hay PIN 63 en la placa. ¿Como lo uso? ¿Qué modificaciones debo hacer en mi archivo VHDL para habilitarlo? Gracias.

    
pregunta Lucas Mezalira

1 respuesta

2

Esta "placa de desarrollo mínima" está configurada como una ruptura de barebones para el CPLD, el reloj ya está directamente conectado al CPLD, depende de usted usar ese CLK para sus propósitos

La mayoría de los pines están conectados directamente al MAXII, el pin 64 es el pin GCLK3 en el Max II

El Pin 64 se ve a la izquierda de la captura de pantalla en el componente U1B (que es un banco de los pines CPLD) También está conectado al Pin 34 en el Encabezado P2 como se ve en la captura de pantalla debajo.

Parausarlo,hadiseñadosunúcleoparausarPIN64(utilizandolanumeraciónTQFP)quesedenominaIO_GLK3ensudefinicióndeCPLD

    
respondido por el crasic

Lea otras preguntas en las etiquetas