Este código si está compilado tiene un error aparece con el símbolo (k). Necesito implementar este bloque simple. k (que representa la cantidad de retraso) vendrá a mi bloque del bloque anterior.
library ieee;
use ieee.std_logic_1164.all;
entity delay is
port( in_delay : in std_logic ;
k : time ;
out_delay : out std_logic);
end delay;
architecture behave of delay is
begin
process (in_delay )
begin
out_delay <= in_delay after k ns;
end process ;
end behave;