Asignación de pines en ispLEVER Classic

1

Tengo un ispGAL22LV10C que estoy intentando programar. Escribí y sinteticé el VHDL en ispLEVER Classic, pero parece que no puedo descubrir cómo crear asignaciones de pin. Falta algo de documentación sobre esto, ¿cómo podría hacer esto? Parece que no puedo encontrar este legendario "editor de restricciones" en mi versión (la última). Gracias

    
pregunta audiFanatic

2 respuestas

3

Lo encontré por mi cuenta después de una hora de búsqueda. Según el sitio web :

  

¿Cómo puedo asignar el pin out del dispositivo para dispositivos GAL?

     

El Planificador de diseño (o Restricción / Editor de preferencias ) no está   Compatible con dispositivos GAL. Las asignaciones de pines se pueden hacer ya sea en   el código fuente de HDL en sí mismo o puede dejar que el software los elija.

     

Para ABEL, especifique un número de pin al declarar el pin.

     

La sintaxis de ejemplo para las asignaciones de pin de origen de ABEL se puede encontrar en Archivo >   Ejemplos abiertos. Luego navega a Ejemplos > SPLD > gal > mlcount >   mlcount

     

Para VHDL o Verilog, use el atributo 'LOC'

     

A continuación se muestra un ejemplo en VHDL:
  Sintaxis

attribute LOC : string;
attribute LOC of SigName: signal is "P[Pin#]";
     

Ejemplo

attribute LOC : string;
attribute LOC of out0: signal is "PA3";
attribute LOC of out1: signal is "PF8 PA2 PB3";
    
respondido por el audiFanatic
1

He encontrado una solución diferente (con al menos ABEL_Schematic):

En el 'Informe de chip' hay una línea con 'Archivo ABA PLA'. Encuentre ese archivo en su directorio de diseño y dentro encontrará la siguiente línea

#$ PINS .....

ahora, aquí puedes reasignar los pines como "A11: 13 A12: 15" ..etc {nombre de la señal}: {pin #}

Una vez hecho esto, simplemente ejecuta el 'Diseño de ajuste' (+ crear mapa de fusibles) otra vez y listo, tienes las señales correctas en el lugar correcto.

    
respondido por el CodeKiller

Lea otras preguntas en las etiquetas