Digamos que tengo una señal, puedo asignar un valor inicial de cero O puedo ponerlo en cero al RESTABLECER. He visto a mis compañeros de trabajo utilizando los dos métodos indistintamente. Solo quiero ver la opinión de otros sobre esto.
Supongamos que en mi código la señal de la bandera es un flip-flop
Ejemplo (usando el valor inicial):
architecture arch of xxx is
signal flag : STD_LOGIC := '0';
begin
process (clk) begin
if rising_edge(clk) then
-- do something
end if;
end process;
end arch;
Ejemplo (usando el valor de reinicio):
architecture arch of xxx is
signal flag : STD_LOGIC;
begin
process (clk,rst) begin
if (rst = '1') then
flag <= '0';
elsif rising_edge(clk) then
-- do something
end if;
end process;
end arch;