Estoy intentando conectar uno de mis bloques VHDL a un bloque generado por Xilinx (una memoria RAM de doble puerto).
El problema es que la habilitación de escritura de la RAM se define como un std_logic_vector (de 0 a 0) en lugar de un std_logic y no sé cómo conectarlos.
El bloque RAM:
component bloque_4
port(
...
wea : in std_logic_vector(0 downto 0);
...
);
end component;
Mientras que el componente que intenta escribir en esa memoria es:
component bloque_3
port(
...
write_en_b3 : out std_logic;
...
);
end component;
En el banco de pruebas que escribí para probar la conexión, definí una señal para establecer la comunicación entre los dos:
signal write_en_b34 : std_logic;
Hasta ahora, las cosas están muy bien, el problema viene cuando trato de asignar la señal write_en_b34 al puerto wea.
uut: bloque_4
port map (
...
wea => write_en_b34,
..
);
Entiendo que hay una falta de coincidencia de tipos, pero no sé cómo resolverlo. Entonces, ¿cómo se podría asignar un std_logic_vector (0 downto 0) a un std_logic?