Soy nuevo en VHDL y parece que no puedo compilar mi código. He revisado el código lo mejor que he podido, pero no veo nada de malo en mi comprensión básica actual de cómo funciona y me pregunto si alguien podría ayudar. Se supone que el código debe modelar una puerta multifunción configurable NLX1G99 (menos el bit de habilitación)
library ieee;
use ieee.std_logic_1164.all;
entity multifun_gate is
port(
d,c,b,a: in std_logic;
y: out std_logic
);
end multifun_gate;
architecture dataflow of multifun_gate is
begin
y <= (a and not b and not c and not d) or
(a and b and not c and not d) or
(not a and b and c and not d) or
(a and b and c and not d) or
(not a and not b and not c and d) or
(not a and b and not c and d) or
(not a and not b and c and d) or
(and and not b and c and d);
end dataflow;