duda VHDL principiante

-6
 constant <clock>_period : time := 10 ns;

¿Cuál es el error con este código? dice error de sintaxis cerca de "<".

    
pregunta Kishore Saldanha

2 respuestas

1

Creo que generaste una plantilla de banco de pruebas en Vivado o ISE, ya que estos programas generan código como este.

La idea es que reemplace <clock> con el nombre de su señal de reloj: si su señal de reloj se llama clk , la línea se volverá

 constant clk_period : time := 10 ns;

que está perfectamente bien.

    
respondido por el Blaubär
3

Los nombres de VHDL pueden contener lo siguiente:

  • letras
  • números
  • los guiones bajos

También distinguen entre mayúsculas y minúsculas, deben comenzar con una letra y no pueden contener dos guiones bajos adyacentes (de la Guía de referencia dorada de Doulos).

< y > no son una letra, un número o un guión bajo.

    
respondido por el DonFusili

Lea otras preguntas en las etiquetas