procesamiento de imágenes usando vhdl / MATLAB

-2

¿Cómo puedo acceder al píxel de contenido de archivo binario de la imagen desde el logi ENVI (100 * 100) por píxel codificado cada píxel en 16 bits en vhdl o en matlab, cuando tengo acceso quiero modificar el archivo? gracias

    

1 respuesta

0

En MATLAB, usa la función imread() (y amigos).

En VHDL, necesitas un objeto file . Por supuesto, esto solo funciona en simulación.

En serio, ¿has leído algo de la documentación disponible?

    
respondido por el Dave Tweed

Lea otras preguntas en las etiquetas