simulador de VHDL: ¿puedo configurar una señal o variable al llamar desde la línea de comandos?

-1

Necesito establecer una señal o variable en una simulación VHDL, dependiendo de la Parámetros pasados en la línea de comando al iniciar la simulación. Alternativamente, puedo leer el valor deseado de esta señal o variable del Variable ambiental. La solución debe ser portátil entre simuladores (GHDL, ModelSim, etc.) y sistemas operativos (Windows, Linux, etc.). ¿Hay alguna forma de implementarlo?

actualizacion

Encontré la solución basada en VHPI y función C externa, sin embargo, no parece ser completamente portátil

enlace

update2

Finalmente, he implementado una solución basada en la lectura de datos de un archivo (como lo sugiere Paebbels):

file f_config : text is in "/tmp/config_file.txt";

function config_read
return integer is
variable rl : line;
variable res : integer;
begin
  readline(f_config,rl);
  read(rl,res);
  return res;
end function config_read;
    
pregunta wzab

1 respuesta

1

Las soluciones son múltiples.

  1. Además del comentario de Brians:
    iSim y xSim también se pueden inicializar con valores genéricos.

  2. iSim, xSim y vSim se pueden controlar mediante scripts TCL. La biblioteca TCL del simulador le permite conducir / forzar valores de señal. Dependiendo de la biblioteca, es posible utilizar TCL para acceder a las variables de entorno.

  3. STDIN de GHDL y vSim se puede conectar a cualquier programa STDOUT. Es posible leer desde STDIN en VHDL. O puede leer cualquier archivo de texto en VHDL, incluidas las canalizaciones.

respondido por el Paebbels

Lea otras preguntas en las etiquetas