Preguntas con etiqueta 'testing'

1
respuesta

Mapa de puntos de prueba para BBC micro: bit

¿Alguien tiene un mapa de los puntos de prueba en el micro: bit de la BBC? Específicamente, me gustaría conectar un alcance a las líneas de la UART del nRF51 al chip USB, el cual esquemático publicado sugiere que están conectados a dos punt...
1
respuesta

Lectura de valores en la prueba de continuidad pero no hay pitido

Al verificar los rastros de mi PCB, me di cuenta de que al verificar la continuidad entre uno de los trazados de salida y GND, algunos valores aparecen en la pantalla del multímetro, pero el zumbador no emite ningún pitido. En la traza Vcc ha...
2
respuestas

¿Es posible probar un dispositivo MIDI a través de su conector con un multímetro?

Tengo un multímetro Fluke 115 disponible que espero tenga capacidad para registrar (incluso con baja fidelidad) cualquier señal MIDI que salga de un puñado de dispositivos. Hay un teclado antiguo que estoy probando que tiene los puertos M...
1
respuesta

Prueba de estándares ISO para resistencia a vibraciones de equipos eléctricos

Me gustaría probar algunos contactos eléctricos para la resistencia al desgaste por oxidación en ambientes interiores y en automóviles, incluso en vehículos todo terreno con mucha vibración. ¿Existen algunas pruebas de la norma ISO que implic...
3
respuestas

Probando un retraso de tiempo prolongado

He implementado un retraso prolongado con un pequeño microcontrolador (MSP430), sin embargo, necesito probar y verificar que funciona como esperaba. Mi algoritmo es el siguiente: main() { //Init Timer //Init Output //Sleep } IS...
2
respuestas

Generación variable de onda / reloj de banco de pruebas VHDL

Un banco de pruebas para una subentidad en mi sistema actualmente define un proceso auxiliar para generar una forma de onda similar a un reloj al mando del proceso de estímulo principal. Una versión simplificada es: shared variable gen_period...
1
respuesta

Cómo suministrar 2 voltajes diferentes a un circuito cada 2 minutos

Para ejecutar un escenario de prueba (estrés), necesito simular el siguiente comportamiento en mi circuito: durante 2 minutos, entrega 11V al circuito durante 2 minutos, entrega 14V al circuito durante 2 minutos, entrega 11V al circuito...
1
respuesta

¿Cuáles son los pasos de mantenimiento para las PCB? [cerrado]

Si tengo un multímetro que puede medir la corriente, el voltaje, los condensadores, las resistencias, etc., ¿cómo puedo detectar los componentes dañados en una PCB? Intento detectar visualmente si hay algún componente dañado, como un fusibl...
1
respuesta

Tiempo de descanso para probar las células lipo

El equipo de carreras eléctricas de mi universidad tiene que probar un gran número (250) de células lipo antes de usarlas en nuestro coche eléctrico. Nuestra metodología de prueba será aproximadamente así: descarga. Carga a 1C Deje que l...
1
respuesta

mA prueba / validación de salida

Necesito validar la reclamación de 200 mA a 24 VCA en un transformador / fuente de alimentación. Tengo algunas resistencias pero no sé cómo proceder. ¿Ayuda a alguien?