Preguntas con etiqueta 'testing'

1
respuesta

¿Cómo crear puntos de medición en una PCB para el diagnóstico de carril MIPI?

Estoy buscando formas de probar específicamente la intensidad de la señal del carril MIPI DSI (porque estoy empujando el sobre en el largo y ancho del trazado) y estoy feliz de agregar puntos de prueba al diseño de PCB. Realmente aprecié todos l...
1
respuesta

Verificación de diseño de PCB

He estado diseñando y creando prototipos de PCB durante los últimos meses. Estoy en el punto en el que estoy probando exhaustivamente estos tableros antes de entrar en producción. Me he encontrado con varios problemas de confiabilidad, como un b...
1
respuesta

Automatización de producción de electrónica de señal mixta de bajo volumen (prueba de hardware, calibración, parpadeo) [cerrado]

Estoy diseñando una tarjeta de señal mixta con microcontrolador que necesitará programación de memoria flash para su firmware, (auto) pruebas y documentación para los pasos finales de producción. Sé que hay una rama completa de la industria de...
2
respuestas

FPGA Jtag enganche a la lógica del usuario

Después de algunas lecturas iniciales en jtag, parece ser un medio ingenioso para probar un diseño lógico FPGA de una manera consistente y sostenible. Usemos altera max 10 como ejemplo. He leído esta MAX 10 JTAG Boundary-Scan Testing Guía de...
1
respuesta

Pogo Pins para dispositivo de prueba de tono de 1.5 mm

Estoy trabajando en un diseño y voy a buscar una PCB más pequeña y soldarla a mi diseño. Antes de usar la placa de origen, quiero probarla y, por lo tanto, estoy tratando de diseñar un dispositivo de prueba similar en concepto a los descritos...
1
respuesta

casos de prueba de Iverilog

Estoy trabajando con pruebas de ciertos casos de prueba con iverilog con la opción -o por ejemplo iverilog -o <output file name> <Test bench if required> <verilog file> También había leído sobre las otras banderas como -c...
1
respuesta

Inducción de zumbido deliberadamente en circuitos analógicos

Me gustaría hacer un dispositivo de prueba simple que emita deliberadamente ruido electromagnético / electrostático para probar los circuitos de audio analógico para la resistencia al zumbido de las fuentes de alimentación de conmutación, salida...
0
respuestas

Prueba de protección de entrada eurorack

Estoy creando un módulo de sintetizador eurorack , y estoy a punto de hacer las pruebas finales en el diseño para asegúrese de que el módulo no pueda ser destruido (electrónicamente, utilizando lo que está disponible en el rack, por lo que la p...
2
respuestas

¿Cómo puedo construir un probador de carga de batería que cambie entre 0,8 y 2,0 ohmios? [cerrado]

Me gustaría construir un probador de carga que cambie: 2 segundos a 2.0 ohms y 1 segundo a 0.8 ohms y de nuevo a 2.0 ohms para repetir. Supongo que puedo tener un circuito con dos resistencias y un cambio entre ellos o entre uno y ambos. ¿...
0
respuestas

¿Cómo es posible que una menor latencia de CAS y menores tiempos en la memoria RAM den como resultado un rendimiento más bajo?

He visto muchos puntos de referencia en las memorias RAM y me sorprendió descubrir que a veces reducir los tiempos de la memoria puede resultar en un menor rendimiento en el uso general de programas en ambos puntos de referencia sintéticos. E...